From cf171f127885b3d4b0a9d76c851962959fb45722 Mon Sep 17 00:00:00 2001 From: "J. Fronny" <6260391-JFronny@users.noreply.gitlab.com> Date: Tue, 26 Jan 2021 11:25:25 +0000 Subject: [PATCH] Rename job to deploy --- .gitlab-ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index caea4a6..a0106c3 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -20,7 +20,7 @@ build_test: only: - master -modrinth: +deploy: stage: deploy when: manual script: